Logo
Unionpedia
Communication
Get it on Google Play
New! Download Unionpedia on your Android™ device!
Install
Faster access than browser!
 

Hardware verification language

Index Hardware verification language

A hardware verification language, or HVL, is a programming language used to verify the designs of electronic circuits written in a hardware description language. [1]

10 relations: C++, E (verification language), Electronic circuit, Hardware description language, High-level programming language, Java (programming language), OpenVera, Property Specification Language, SystemC, SystemVerilog.

C++

C++ ("see plus plus") is a general-purpose programming language.

New!!: Hardware verification language and C++ · See more »

E (verification language)

e is a hardware verification language (HVL) which is tailored to implementing highly flexible and reusable verification testbenches.

New!!: Hardware verification language and E (verification language) · See more »

Electronic circuit

An electronic circuit is composed of individual electronic components, such as resistors, transistors, capacitors, inductors and diodes, connected by conductive wires or traces through which electric current can flow.

New!!: Hardware verification language and Electronic circuit · See more »

Hardware description language

In computer engineering, a hardware description language (HDL) is a specialized computer language used to describe the structure and behavior of electronic circuits, and most commonly, digital logic circuits.

New!!: Hardware verification language and Hardware description language · See more »

High-level programming language

In computer science, a high-level programming language is a programming language with strong abstraction from the details of the computer.

New!!: Hardware verification language and High-level programming language · See more »

Java (programming language)

Java is a general-purpose computer-programming language that is concurrent, class-based, object-oriented, and specifically designed to have as few implementation dependencies as possible.

New!!: Hardware verification language and Java (programming language) · See more »

OpenVera

OpenVera is a hardware verification language developed and managed by Synopsys.

New!!: Hardware verification language and OpenVera · See more »

Property Specification Language

Property Specification Language (PSL) is a temporal logic extending Linear temporal logic with a range of operators for both ease of expression and enhancement of expressive power.

New!!: Hardware verification language and Property Specification Language · See more »

SystemC

SystemC is a set of C++ classes and macros which provide an event-driven simulation interface (see also discrete event simulation).

New!!: Hardware verification language and SystemC · See more »

SystemVerilog

In the semiconductor and electronic design industry, SystemVerilog is a combined hardware description language and hardware verification language based on extensions to Verilog.

New!!: Hardware verification language and SystemVerilog · See more »

Redirects here:

Hardware Verification Language, Hardware Verification Languages, Hardware verification languages.

References

[1] https://en.wikipedia.org/wiki/Hardware_verification_language

OutgoingIncoming
Hey! We are on Facebook now! »