Logo
Unionpedia
Communication
Get it on Google Play
New! Download Unionpedia on your Android™ device!
Free
Faster access than browser!
 

Routing (electronic design automation)

Index Routing (electronic design automation)

In electronic design, wire routing, commonly called simply routing, is a step in the design of printed circuit boards (PCBs) and integrated circuits (ICs). [1]

39 relations: Antenna effect, ASM International, Association for Computing Machinery, Autodesk, Channel router, Computational complexity theory, CRC Press, Crosstalk, Design flow (EDA), Design rule checking, DOS, EAGLE (program), Electronic design automation, GEDA, Heuristic (computer science), IBM Journal of Research and Development, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on Computers, Institute of Electrical and Electronics Engineers, Integrated circuit, Integrated circuit design, International Federation for Information Processing, Lead (electronics), Maze runner, McGraw-Hill Education, Microsoft Windows, Multi-chip module, Netlist, NP-completeness, NP-hardness, P-CAD, PCB (software), Placement (EDA), Printed circuit board, Routing (electronic design automation), Steiner tree problem, Taylor & Francis, TopoR, Via (electronics).

Antenna effect

The antenna effect, more formally plasma induced gate oxide damage, is an effect that can potentially cause yield and reliability problems during the manufacture of MOS integrated circuits.

New!!: Routing (electronic design automation) and Antenna effect · See more »

ASM International

ASM (Advanced Semiconductor Materials) International is a Dutch company active in the semiconductor industry.

New!!: Routing (electronic design automation) and ASM International · See more »

Association for Computing Machinery

The Association for Computing Machinery (ACM) is an international learned society for computing.

New!!: Routing (electronic design automation) and Association for Computing Machinery · See more »

Autodesk

Autodesk, Inc. is an American multinational software corporation that makes software for the architecture, engineering, construction, manufacturing, media, and entertainment industries.

New!!: Routing (electronic design automation) and Autodesk · See more »

Channel router

A channel router is a specific variety of router for integrated circuits.

New!!: Routing (electronic design automation) and Channel router · See more »

Computational complexity theory

Computational complexity theory is a branch of the theory of computation in theoretical computer science that focuses on classifying computational problems according to their inherent difficulty, and relating those classes to each other.

New!!: Routing (electronic design automation) and Computational complexity theory · See more »

CRC Press

The CRC Press, LLC is a publishing group based in the United States that specializes in producing technical books.

New!!: Routing (electronic design automation) and CRC Press · See more »

Crosstalk

In electronics, crosstalk is any phenomenon by which a signal transmitted on one circuit or channel of a transmission system creates an undesired effect in another circuit or channel.

New!!: Routing (electronic design automation) and Crosstalk · See more »

Design flow (EDA)

Design flows are the explicit combination of electronic design automation tools to accomplish the design of an integrated circuit.

New!!: Routing (electronic design automation) and Design flow (EDA) · See more »

Design rule checking

Design rule checking or check(s) (DRC) is the area of electronic design automation that determines whether the physical layout of a particular chip layout satisfies a series of recommended parameters called design rules.

New!!: Routing (electronic design automation) and Design rule checking · See more »

DOS

DOS is a family of disk operating systems.

New!!: Routing (electronic design automation) and DOS · See more »

EAGLE (program)

EAGLE is a scriptable electronic design automation (EDA) application with schematic capture, printed circuit board (PCB) layout, auto-router and computer-aided manufacturing (CAM) features.

New!!: Routing (electronic design automation) and EAGLE (program) · See more »

Electronic design automation

Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards.

New!!: Routing (electronic design automation) and Electronic design automation · See more »

GEDA

The term gEDA refers to two things.

New!!: Routing (electronic design automation) and GEDA · See more »

Heuristic (computer science)

In computer science, artificial intelligence, and mathematical optimization, a heuristic (from Greek εὑρίσκω "I find, discover") is a technique designed for solving a problem more quickly when classic methods are too slow, or for finding an approximate solution when classic methods fail to find any exact solution.

New!!: Routing (electronic design automation) and Heuristic (computer science) · See more »

IBM Journal of Research and Development

IBM Journal of Research and Development is a peer-reviewed bimonthly scientific journal covering research on information systems.

New!!: Routing (electronic design automation) and IBM Journal of Research and Development · See more »

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (sometimes abbreviated IEEE TCAD or IEEE Transactions on CAD) is a monthly peer-reviewed scientific journal covering the design, analysis, and use of computer-aided design of integrated circuits and systems.

New!!: Routing (electronic design automation) and IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems · See more »

IEEE Transactions on Computers

IEEE Transactions on Computers is a monthly peer-reviewed scientific journal covering all aspects of computer design.

New!!: Routing (electronic design automation) and IEEE Transactions on Computers · See more »

Institute of Electrical and Electronics Engineers

The Institute of Electrical and Electronics Engineers (IEEE) is a professional association with its corporate office in New York City and its operations center in Piscataway, New Jersey.

New!!: Routing (electronic design automation) and Institute of Electrical and Electronics Engineers · See more »

Integrated circuit

An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, normally silicon.

New!!: Routing (electronic design automation) and Integrated circuit · See more »

Integrated circuit design

Integrated circuit design, or IC design, is a subset of electronics engineering, encompassing the particular logic and circuit design techniques required to design integrated circuits, or ICs.

New!!: Routing (electronic design automation) and Integrated circuit design · See more »

International Federation for Information Processing

The International Federation for Information Processing (IFIP) is a global organisation for researchers and professionals working in the field of information and communication technologies (ICT) to conduct research, develop standards and promote information sharing.

New!!: Routing (electronic design automation) and International Federation for Information Processing · See more »

Lead (electronics)

In electronics, a lead is an electrical connection consisting of a length of wire or a metal pad (SMD) that is designed to connect two locations electrically.

New!!: Routing (electronic design automation) and Lead (electronics) · See more »

Maze runner

In electronic design automation, maze runner is a connection routing method that represents the entire routing space as a grid.

New!!: Routing (electronic design automation) and Maze runner · See more »

McGraw-Hill Education

McGraw-Hill Education (MHE) is a learning science company and one of the "big three" educational publishers that provides customized educational content, software, and services for pre-K through postgraduate education.

New!!: Routing (electronic design automation) and McGraw-Hill Education · See more »

Microsoft Windows

Microsoft Windows is a group of several graphical operating system families, all of which are developed, marketed, and sold by Microsoft.

New!!: Routing (electronic design automation) and Microsoft Windows · See more »

Multi-chip module

A multi-chip module (MCM) is generically an electronic assembly (such as a package with a number of conductor terminals or "pins") where multiple integrated circuits (ICs or "chips"), semiconductor dies and/or other discrete components are integrated, usually onto a unifying substrate, so that in use it is treated as if it were a single component (as though a larger IC).

New!!: Routing (electronic design automation) and Multi-chip module · See more »

Netlist

In electronic design, a netlist is a description of the connectivity of an electronic circuit.

New!!: Routing (electronic design automation) and Netlist · See more »

NP-completeness

In computational complexity theory, an NP-complete decision problem is one belonging to both the NP and the NP-hard complexity classes.

New!!: Routing (electronic design automation) and NP-completeness · See more »

NP-hardness

NP-hardness (''n''on-deterministic ''p''olynomial-time hardness), in computational complexity theory, is the defining property of a class of problems that are, informally, "at least as hard as the hardest problems in NP".

New!!: Routing (electronic design automation) and NP-hardness · See more »

P-CAD

P-CAD was the brand name created by Personal CAD Systems, Inc., a company founded in 1982 in Los Gatos, California, by Richard Nedbal (CEO) and Roy Prasad (VP of Engineering).

New!!: Routing (electronic design automation) and P-CAD · See more »

PCB (software)

PCB is a free and open-source software suite for electronic design automation (EDA) - for printed circuit boards (PCB) layout. It uses GTK+ for its GUI widgets.

New!!: Routing (electronic design automation) and PCB (software) · See more »

Placement (EDA)

Placement is an essential step in electronic design automation - the portion of the physical design flow that assigns exact locations for various circuit components within the chip's core area.

New!!: Routing (electronic design automation) and Placement (EDA) · See more »

Printed circuit board

A printed circuit board (PCB) mechanically supports and electrically connects electronic components or electrical components using conductive tracks, pads and other features etched from one or more sheet layers of copper laminated onto and/or between sheet layers of a non-conductive substrate.

New!!: Routing (electronic design automation) and Printed circuit board · See more »

Routing (electronic design automation)

In electronic design, wire routing, commonly called simply routing, is a step in the design of printed circuit boards (PCBs) and integrated circuits (ICs).

New!!: Routing (electronic design automation) and Routing (electronic design automation) · See more »

Steiner tree problem

Steiner tree problem, or minimum Steiner tree problem, named after Jakob Steiner, is an umbrella term for a class of problems in combinatorial optimization.

New!!: Routing (electronic design automation) and Steiner tree problem · See more »

Taylor & Francis

Taylor & Francis Group is an international company originating in England that publishes books and academic journals.

New!!: Routing (electronic design automation) and Taylor & Francis · See more »

TopoR

TopoR (Topological Router) is an EDA program developed and maintained by the Russian company Eremex.

New!!: Routing (electronic design automation) and TopoR · See more »

Via (electronics)

A via or VIA (Latin for path or way, also known as vertical interconnect access) is an electrical connection between layers in a physical electronic circuit that goes through the plane of one or more adjacent layers.

New!!: Routing (electronic design automation) and Via (electronics) · See more »

Redirects here:

Auto router, Auto routing, Auto-router, Auto-routing, Automatic router, Automatic topological router, Automoving, Autorouter, Autorouting, Clean-up router, Geometric autorouter, Grid routing, Grid-based auto-router, Grid-based autorouter, Grid-based router, Grid-less router, Gridless PCB router, Gridless router, Gridless routing, Hadlock minimum detour router, Hadlock router, Hadlock's minimum detour router, Hadlock's router, Hightower router, Hightower's router, Interactive router, Interactive routing, Mikami router, Mikami's router, Mikami-Tahuchi router, Mikami-Tahuchi's router, Mikami–Tahuchi router, Minimum detour router, Neuronal autorouter, Neuronal router, Off-grid-routing, PCB router, PCB routing, Pattern router, Push and shove router, Push and shove routing, Push'n'shove router, Push'n'shove-router, Push-and-shove router, Push-and-shove routing, Rip-up router, Rip-up- and retry-router, Rip-up-and-retry router, Ripup router, Ripup-router, Router (EDA), Router (electronic design automation), Routing (EDA), Routing (Electronic Design Automation), Shape-based auto-router, Shape-based autorouter, Shape-based router, Shove-aside router, Topological PCB router, Topological PWB router, Topological auto-router, Topological autorouter, Topological autorouting, Topological router, Wire routing.

References

[1] https://en.wikipedia.org/wiki/Routing_(electronic_design_automation)

OutgoingIncoming
Hey! We are on Facebook now! »