Logo
Unionpedia
Communication
Get it on Google Play
New! Download Unionpedia on your Android™ device!
Download
Faster access than browser!
 

Semiconductor device fabrication

Index Semiconductor device fabrication

Semiconductor device fabrication is the process used to create the integrated circuits that are present in everyday electrical and electronic devices. [1]

113 relations: Acetone, Aluminium, Antimony, Arsenic, Arsine, Asia, Atomic layer deposition, Boule (crystal), Broadcom Inc., Built-in self-test, California, Capacitor, Chemical vapor deposition, Chemical-mechanical planarization, Chip-scale package, Cleanroom, Cleanroom suit, Copper interconnect, Crystal growth, Czochralski process, Die (integrated circuit), Die preparation, Dopant, Dry etching, Dual in-line package, Dynamic random-access memory, Electronics, Electroplating, Ellipsometry, Epitaxy, Etch pit density, Etching (microfabrication), Europe, Flip chip, Furnace anneal, Gate dielectric, Glass, Hydrofluoric acid, Hydrogen peroxide, Ingot, Integrated circuit, Integrated circuit packaging, Intel, International Technology Roadmap for Semiconductors, Ion implantation, Lead, Lead frame, List of semiconductor fabrication plants, List of semiconductor materials, List of semiconductor scale examples, ..., LOCOS, Low-κ dielectric, Metrology, Microfabrication, Micrometre, Microprocessor, Middle East, Molecular beam epitaxy, Monocrystalline silicon, MOSFET, Nitric acid, Operating temperature, Passivation (chemistry), PC Card, Phosphine, Phosphorus, Photolithography, Photomask, Photoresist, Physical vapor deposition, Piranha solution, Planar process, Plasma ashing, Plating, Process corners, Process variation (semiconductor), Qimonda, Qualcomm, Rapid thermal processing, RCA clean, Reflectometry, Refractive index and extinction coefficient of thin film materials, Restriction of Hazardous Substances Directive, Samsung, Scan chain, SEMI, SEMI font, Semiconductor, Semiconductor consolidation, Semiconductor fabrication plant, Silane, Silicon, Silicon dioxide, Silicon on insulator, Silicon-germanium, Smart card, Solder, Stepper, Sulfuric acid, Tape-automated bonding, Texas, Thermal oxidation, Thermosonic bonding, Transistor, Trichloroethylene, Tungsten, Virtual metrology, Wafer (electronics), Wafer backgrinding, Wafer bonding, Wafer dicing, Wafer testing, Wire bonding. Expand index (63 more) »

Acetone

Acetone (systematically named propanone) is the organic compound with the formula (CH3)2CO.

New!!: Semiconductor device fabrication and Acetone · See more »

Aluminium

Aluminium or aluminum is a chemical element with symbol Al and atomic number 13.

New!!: Semiconductor device fabrication and Aluminium · See more »

Antimony

Antimony is a chemical element with symbol Sb (from stibium) and atomic number 51.

New!!: Semiconductor device fabrication and Antimony · See more »

Arsenic

Arsenic is a chemical element with symbol As and atomic number 33.

New!!: Semiconductor device fabrication and Arsenic · See more »

Arsine

Arsine is an inorganic compound with the formula AsH3.

New!!: Semiconductor device fabrication and Arsine · See more »

Asia

Asia is Earth's largest and most populous continent, located primarily in the Eastern and Northern Hemispheres.

New!!: Semiconductor device fabrication and Asia · See more »

Atomic layer deposition

Atomic layer deposition (ALD) is a thin-film deposition technique based on the sequential use of a gas phase chemical process.

New!!: Semiconductor device fabrication and Atomic layer deposition · See more »

Boule (crystal)

A boule is a single crystal ingot produced by synthetic means.

New!!: Semiconductor device fabrication and Boule (crystal) · See more »

Broadcom Inc.

Broadcom Inc. (formerly Avago Technologies) is a designer, developer and global supplier of products based on analog and digital semiconductor technologies within four primary markets: wired infrastructure, wireless communications, enterprise storage, and industrial & others.

New!!: Semiconductor device fabrication and Broadcom Inc. · See more »

Built-in self-test

A built-in self-test (BIST) or built-in test (BIT) is a mechanism that permits a machine to test itself.

New!!: Semiconductor device fabrication and Built-in self-test · See more »

California

California is a state in the Pacific Region of the United States.

New!!: Semiconductor device fabrication and California · See more »

Capacitor

A capacitor is a passive two-terminal electrical component that stores potential energy in an electric field.

New!!: Semiconductor device fabrication and Capacitor · See more »

Chemical vapor deposition

Chemical vapor deposition (CVD) is deposition method used to produce high quality, high-performance, solid materials, typically under vacuum.

New!!: Semiconductor device fabrication and Chemical vapor deposition · See more »

Chemical-mechanical planarization

Chemical mechanical polishing/planarization is a process of smoothing surfaces with the combination of chemical and mechanical forces.

New!!: Semiconductor device fabrication and Chemical-mechanical planarization · See more »

Chip-scale package

A chip scale package or chip-scale package (CSP) is a type of integrated circuit package.

New!!: Semiconductor device fabrication and Chip-scale package · See more »

Cleanroom

A cleanroom or clean room is a situation, ordinarily utilized as a part of assembling, including of pharmaceutical items or logical research, and in addition aviation semiconductor building applications with a low level of natural toxins, for example, tiny, airborne organisms, vaporized particles, and concoction vapors.

New!!: Semiconductor device fabrication and Cleanroom · See more »

Cleanroom suit

A cleanroom suit, clean room suit, or bunny suit, is an overall garment worn in a cleanroom, an environment with a controlled level of contamination.

New!!: Semiconductor device fabrication and Cleanroom suit · See more »

Copper interconnect

Copper-based chips are semiconductor integrated circuits which use copper for interconnections in the metalization layer, the BEOL.

New!!: Semiconductor device fabrication and Copper interconnect · See more »

Crystal growth

Crystal growth is the process where a pre-existing crystal becomes larger as more molecules or ions add in their positions in the crystal lattice.

New!!: Semiconductor device fabrication and Crystal growth · See more »

Czochralski process

The Czochralski process is a method of crystal growth used to obtain single crystals of semiconductors (e.g. silicon, germanium and gallium arsenide), metals (e.g. palladium, platinum, silver, gold), salts and synthetic gemstones.

New!!: Semiconductor device fabrication and Czochralski process · See more »

Die (integrated circuit)

A die (pronunciation: /daɪ/) in the context of integrated circuits is a small block of semiconducting material, on which a given functional circuit is fabricated.

New!!: Semiconductor device fabrication and Die (integrated circuit) · See more »

Die preparation

Die preparation is a step of semiconductor device fabrication during which a wafer is prepared for IC packaging and IC testing.

New!!: Semiconductor device fabrication and Die preparation · See more »

Dopant

A dopant, also called a doping agent, is a trace impurity element that is inserted into a substance (in very low concentrations) to alter the electrical or optical properties of the substance.

New!!: Semiconductor device fabrication and Dopant · See more »

Dry etching

Dry etching refers to the removal of material, typically a masked pattern of semiconductor material, by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons, oxygen, chlorine, boron trichloride; sometimes with addition of nitrogen, argon, helium and other gases) that dislodge portions of the material from the exposed surface.

New!!: Semiconductor device fabrication and Dry etching · See more »

Dual in-line package

In microelectronics, a dual in-line package (DIP or DIL), or dual in-line pin package (DIPP) is an electronic component package with a rectangular housing and two parallel rows of electrical connecting pins.

New!!: Semiconductor device fabrication and Dual in-line package · See more »

Dynamic random-access memory

Dynamic random-access memory (DRAM) is a type of random access semiconductor memory that stores each bit of data in a separate tiny capacitor within an integrated circuit.

New!!: Semiconductor device fabrication and Dynamic random-access memory · See more »

Electronics

Electronics is the discipline dealing with the development and application of devices and systems involving the flow of electrons in a vacuum, in gaseous media, and in semiconductors.

New!!: Semiconductor device fabrication and Electronics · See more »

Electroplating

Electroplating is a process that uses an electric current to reduce dissolved metal cations so that they form a thin coherent metal coating on an electrode.

New!!: Semiconductor device fabrication and Electroplating · See more »

Ellipsometry

Ellipsometry is an optical technique for investigating the dielectric properties (complex refractive index or dielectric function) of thin films.

New!!: Semiconductor device fabrication and Ellipsometry · See more »

Epitaxy

Epitaxy refers to the deposition of a crystalline overlayer on a crystalline substrate.

New!!: Semiconductor device fabrication and Epitaxy · See more »

Etch pit density

The etch pit density (EPD) is a measure for the quality of semiconductor wafers.

New!!: Semiconductor device fabrication and Etch pit density · See more »

Etching (microfabrication)

Etching is used in microfabrication to chemically remove layers from the surface of a wafer during manufacturing.

New!!: Semiconductor device fabrication and Etching (microfabrication) · See more »

Europe

Europe is a continent located entirely in the Northern Hemisphere and mostly in the Eastern Hemisphere.

New!!: Semiconductor device fabrication and Europe · See more »

Flip chip

Flip chip, also known as controlled collapse chip connection or its abbreviation, C4, is a method for interconnecting semiconductor devices, such as IC chips and microelectromechanical systems (MEMS), to external circuitry with solder bumps that have been deposited onto the chip pads.

New!!: Semiconductor device fabrication and Flip chip · See more »

Furnace anneal

Furnace annealing is a process used in semiconductor device fabrication which consist of heating multiple semiconductor wafers in order to affect their electrical properties.

New!!: Semiconductor device fabrication and Furnace anneal · See more »

Gate dielectric

A gate dielectric is a dielectric used between the gate and substrate of a field-effect transistor.

New!!: Semiconductor device fabrication and Gate dielectric · See more »

Glass

Glass is a non-crystalline amorphous solid that is often transparent and has widespread practical, technological, and decorative usage in, for example, window panes, tableware, and optoelectronics.

New!!: Semiconductor device fabrication and Glass · See more »

Hydrofluoric acid

Hydrofluoric acid is a solution of hydrogen fluoride (HF) in water.

New!!: Semiconductor device fabrication and Hydrofluoric acid · See more »

Hydrogen peroxide

Hydrogen peroxide is a chemical compound with the formula.

New!!: Semiconductor device fabrication and Hydrogen peroxide · See more »

Ingot

An ingot is a piece of relatively pure material, usually metal, that is cast into a shape suitable for further processing.

New!!: Semiconductor device fabrication and Ingot · See more »

Integrated circuit

An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, normally silicon.

New!!: Semiconductor device fabrication and Integrated circuit · See more »

Integrated circuit packaging

In electronics manufacturing, integrated circuit packaging is the final stage of semiconductor device fabrication, in which the tiny block of semiconducting material is encapsulated in a supporting case that prevents physical damage and corrosion.

New!!: Semiconductor device fabrication and Integrated circuit packaging · See more »

Intel

Intel Corporation (stylized as intel) is an American multinational corporation and technology company headquartered in Santa Clara, California, in the Silicon Valley.

New!!: Semiconductor device fabrication and Intel · See more »

International Technology Roadmap for Semiconductors

The International Technology Roadmap for Semiconductors (ITRS) is a set of documents produced by a group of semiconductor industry experts.

New!!: Semiconductor device fabrication and International Technology Roadmap for Semiconductors · See more »

Ion implantation

Ion implantation is low-temperature process by which ions of one element are accelerated into a solid target, thereby changing the physical, chemical, or electrical properties of the target.

New!!: Semiconductor device fabrication and Ion implantation · See more »

Lead

Lead is a chemical element with symbol Pb (from the Latin plumbum) and atomic number 82.

New!!: Semiconductor device fabrication and Lead · See more »

Lead frame

Lead frames are the metal structures inside a chip package that carry signals from the die to the outside.

New!!: Semiconductor device fabrication and Lead frame · See more »

List of semiconductor fabrication plants

This is a list of semiconductor fabrication plants: A semiconductor fabrication plant is where integrated circuits (ICs), also known as microchips, are made.

New!!: Semiconductor device fabrication and List of semiconductor fabrication plants · See more »

List of semiconductor materials

Semiconductor materials are nominally small band gap insulators.

New!!: Semiconductor device fabrication and List of semiconductor materials · See more »

List of semiconductor scale examples

No description.

New!!: Semiconductor device fabrication and List of semiconductor scale examples · See more »

LOCOS

LOCOS, short for LOCal Oxidation of Silicon, is a microfabrication process where silicon dioxide is formed in selected areas on a silicon wafer having the Si-SiO2 interface at a lower point than the rest of the silicon surface.

New!!: Semiconductor device fabrication and LOCOS · See more »

Low-κ dielectric

In semiconductor manufacturing, a low-κ is a material with a small dielectric constant relative to silicon dioxide.

New!!: Semiconductor device fabrication and Low-κ dielectric · See more »

Metrology

Metrology is the science of measurement.

New!!: Semiconductor device fabrication and Metrology · See more »

Microfabrication

Microfabrication is the process of fabricating miniature structures of micrometre scales and smaller.

New!!: Semiconductor device fabrication and Microfabrication · See more »

Micrometre

The micrometre (International spelling as used by the International Bureau of Weights and Measures; SI symbol: μm) or micrometer (American spelling), also commonly known as a micron, is an SI derived unit of length equaling (SI standard prefix "micro-".

New!!: Semiconductor device fabrication and Micrometre · See more »

Microprocessor

A microprocessor is a computer processor that incorporates the functions of a central processing unit on a single integrated circuit (IC), or at most a few integrated circuits.

New!!: Semiconductor device fabrication and Microprocessor · See more »

Middle East

The Middle Easttranslit-std; translit; Orta Şərq; Central Kurdish: ڕۆژھەڵاتی ناوین, Rojhelatî Nawîn; Moyen-Orient; translit; translit; translit; Rojhilata Navîn; translit; Bariga Dhexe; Orta Doğu; translit is a transcontinental region centered on Western Asia, Turkey (both Asian and European), and Egypt (which is mostly in North Africa).

New!!: Semiconductor device fabrication and Middle East · See more »

Molecular beam epitaxy

Molecular beam epitaxy (MBE) is an epitaxy method for thin-film deposition of single crystals.

New!!: Semiconductor device fabrication and Molecular beam epitaxy · See more »

Monocrystalline silicon

Monocrystalline silicon (also called "single-crystal silicon", "single-crystal Si", "mono c-Si", or mono-Si) is the base material for silicon chips used in virtually all electronic equipment today.

New!!: Semiconductor device fabrication and Monocrystalline silicon · See more »

MOSFET

MOSFET showing gate (G), body (B), source (S) and drain (D) terminals. The gate is separated from the body by an insulating layer (white). surface-mount packages. Operating as switches, each of these components can sustain a blocking voltage of 120nbspvolts in the ''off'' state, and can conduct a continuous current of 30 amperes in the ''on'' state, dissipating up to about 100 watts and controlling a load of over 2000 watts. A matchstick is pictured for scale. A cross-section through an nMOSFET when the gate voltage ''V''GS is below the threshold for making a conductive channel; there is little or no conduction between the terminals drain and source; the switch is off. When the gate is more positive, it attracts electrons, inducing an ''n''-type conductive channel in the substrate below the oxide, which allows electrons to flow between the ''n''-doped terminals; the switch is on. Simulation result for formation of inversion channel (electron density) and attainment of threshold voltage (IV) in a nanowire MOSFET. Note that the threshold voltage for this device lies around 0.45 V The metal-oxide-semiconductor field-effect transistor (MOSFET, MOS-FET, or MOS FET) is a type of field-effect transistor (FET), most commonly fabricated by the controlled oxidation of silicon.

New!!: Semiconductor device fabrication and MOSFET · See more »

Nitric acid

Nitric acid (HNO3), also known as aqua fortis (Latin for "strong water") and spirit of niter, is a highly corrosive mineral acid.

New!!: Semiconductor device fabrication and Nitric acid · See more »

Operating temperature

An operating temperature is the temperature at which an electrical or mechanical device operates.

New!!: Semiconductor device fabrication and Operating temperature · See more »

Passivation (chemistry)

Passivation, in physical chemistry and engineering, refers to a material becoming "passive," that is, less affected or corroded by the environment of future use.

New!!: Semiconductor device fabrication and Passivation (chemistry) · See more »

PC Card

In computing, PC Card is a configuration for computer parallel communication peripheral interface, designed for laptop computers.

New!!: Semiconductor device fabrication and PC Card · See more »

Phosphine

Phosphine (IUPAC name: phosphane) is the compound with the chemical formula PH3.

New!!: Semiconductor device fabrication and Phosphine · See more »

Phosphorus

Phosphorus is a chemical element with symbol P and atomic number 15.

New!!: Semiconductor device fabrication and Phosphorus · See more »

Photolithography

Photolithography, also termed optical lithography or UV lithography, is a process used in microfabrication to pattern parts of a thin film or the bulk of a substrate.

New!!: Semiconductor device fabrication and Photolithography · See more »

Photomask

A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern.

New!!: Semiconductor device fabrication and Photomask · See more »

Photoresist

A photoresist is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface.

New!!: Semiconductor device fabrication and Photoresist · See more »

Physical vapor deposition

Physical vapor deposition (PVD) describes a variety of vacuum deposition methods which can be used to produce thin films and coatings.

New!!: Semiconductor device fabrication and Physical vapor deposition · See more »

Piranha solution

Piranha solution, also known as piranha etch, is a mixture of sulfuric acid (H2SO4) and hydrogen peroxide (H2O2), used to clean organic residues off substrates.

New!!: Semiconductor device fabrication and Piranha solution · See more »

Planar process

The planar process is a manufacturing process used in the semiconductor industry to build individual components of a transistor, and in turn, connect those transistors together.

New!!: Semiconductor device fabrication and Planar process · See more »

Plasma ashing

In semiconductor manufacturing plasma ashing is the process of removing the photoresist (light sensitive coating) from an etched wafer.

New!!: Semiconductor device fabrication and Plasma ashing · See more »

Plating

Plating is a surface covering in which a metal is deposited on a conductive surface.

New!!: Semiconductor device fabrication and Plating · See more »

Process corners

In semiconductor manufacturing, a process corner is an example of a design-of-experiments (DoE) technique that refers to a variation of fabrication parameters used in applying an integrated circuit design to a semiconductor wafer.

New!!: Semiconductor device fabrication and Process corners · See more »

Process variation (semiconductor)

Process variation is the naturally occurring variation in the attributes of transistors (length, widths, oxide thickness) when integrated circuits are fabricated.

New!!: Semiconductor device fabrication and Process variation (semiconductor) · See more »

Qimonda

Qimonda AG was a memory company split out of Infineon Technologies (itself a spun off business unit of Siemens AG) on 1 May 2006, to form at the time the second largest DRAM company worldwide, according to the industry research firm Gartner Dataquest.

New!!: Semiconductor device fabrication and Qimonda · See more »

Qualcomm

Qualcomm is an American multinational semiconductor and telecommunications equipment company that designs and markets wireless telecommunications products and services.

New!!: Semiconductor device fabrication and Qualcomm · See more »

Rapid thermal processing

Rapid thermal processing (RTP) refers to a semiconductor manufacturing process which heats silicon wafers to high temperatures (over 1,000 °C) on a timescale of several seconds or less.

New!!: Semiconductor device fabrication and Rapid thermal processing · See more »

RCA clean

The RCA clean is a standard set of wafer cleaning steps which need to be performed before high-temperature processing steps (oxidation, diffusion, CVD) of silicon wafers in semiconductor manufacturing.

New!!: Semiconductor device fabrication and RCA clean · See more »

Reflectometry

Reflectometry uses the reflection of waves at surfaces and interfaces to detect or characterize objects.

New!!: Semiconductor device fabrication and Reflectometry · See more »

Refractive index and extinction coefficient of thin film materials

A.

New!!: Semiconductor device fabrication and Refractive index and extinction coefficient of thin film materials · See more »

Restriction of Hazardous Substances Directive

The Restriction of Hazardous Substances Directive 2002/95/EC, (RoHS 1), short for Directive on the restriction of the use of certain hazardous substances in electrical and electronic equipment, was adopted in February 2003 by the European Union.

New!!: Semiconductor device fabrication and Restriction of Hazardous Substances Directive · See more »

Samsung

Samsung is a South Korean multinational conglomerate headquartered in Samsung Town, Seoul.

New!!: Semiconductor device fabrication and Samsung · See more »

Scan chain

Scan chain is a technique used in design for testing.

New!!: Semiconductor device fabrication and Scan chain · See more »

SEMI

SEMI (formerly Semiconductor Equipment and Materials International) is a global industry association of companies that provide equipment, materials and services for the manufacture of semiconductors, photovoltaic panels, LED and flat panel displays, micro-electromechanical systems (MEMS), printed and flexible electronics, and related micro and nano-technologies.

New!!: Semiconductor device fabrication and SEMI · See more »

SEMI font

SEMI Font, also known as SEMI OCR font, is used for marking silicon wafers in the semi-conductor industry.

New!!: Semiconductor device fabrication and SEMI font · See more »

Semiconductor

A semiconductor material has an electrical conductivity value falling between that of a conductor – such as copper, gold etc.

New!!: Semiconductor device fabrication and Semiconductor · See more »

Semiconductor consolidation

Semiconductor consolidation is the trend of semiconductor companies collaborating in order to come to a practical synergy with the goal of being able to operate in a business model that can sustain profitability.

New!!: Semiconductor device fabrication and Semiconductor consolidation · See more »

Semiconductor fabrication plant

In the microelectronics industry a semiconductor fabrication plant (commonly called a fab; sometimes foundry) is a factory where devices such as integrated circuits are manufactured.

New!!: Semiconductor device fabrication and Semiconductor fabrication plant · See more »

Silane

Silane is an inorganic compound with chemical formula, SiH4, making it a group 14 hydride.

New!!: Semiconductor device fabrication and Silane · See more »

Silicon

Silicon is a chemical element with symbol Si and atomic number 14.

New!!: Semiconductor device fabrication and Silicon · See more »

Silicon dioxide

Silicon dioxide, also known as silica (from the Latin silex), is an oxide of silicon with the chemical formula, most commonly found in nature as quartz and in various living organisms.

New!!: Semiconductor device fabrication and Silicon dioxide · See more »

Silicon on insulator

Silicon on insulator (SOI) technology refers to the use of a layered silicon–insulator–silicon substrate in place of conventional silicon substrates in semiconductor manufacturing, especially microelectronics, to reduce parasitic device capacitance, thereby improving performance.

New!!: Semiconductor device fabrication and Silicon on insulator · See more »

Silicon-germanium

SiGe, or silicon-germanium, is an alloy with any molar ratio of silicon and germanium, i.e. with a molecular formula of the form Si1−xGex.

New!!: Semiconductor device fabrication and Silicon-germanium · See more »

Smart card

A smart card, chip card, or integrated circuit card (ICC), is any pocket-sized card that has embedded integrated circuits.

New!!: Semiconductor device fabrication and Smart card · See more »

Solder

Solder (or in North America) is a fusible metal alloy used to create a permanent bond between metal workpieces.

New!!: Semiconductor device fabrication and Solder · See more »

Stepper

A stepper is a device used in the manufacture of integrated circuits (ICs) that is similar in operation to a slide projector or a photographic enlarger.

New!!: Semiconductor device fabrication and Stepper · See more »

Sulfuric acid

Sulfuric acid (alternative spelling sulphuric acid) is a mineral acid with molecular formula H2SO4.

New!!: Semiconductor device fabrication and Sulfuric acid · See more »

Tape-automated bonding

Tape-automated bonding (TAB) is a process that places bare integrated circuits onto a printed circuit board (PCB) by attaching them to fine conductors in a polyamide or polyimide film, thus providing a means to directly connect to external circuits.

New!!: Semiconductor device fabrication and Tape-automated bonding · See more »

Texas

Texas (Texas or Tejas) is the second largest state in the United States by both area and population.

New!!: Semiconductor device fabrication and Texas · See more »

Thermal oxidation

In microfabrication, thermal oxidation is a way to produce a thin layer of oxide (usually silicon dioxide) on the surface of a wafer.

New!!: Semiconductor device fabrication and Thermal oxidation · See more »

Thermosonic bonding

Thermosonic bonding is widely used to wire bond silicon integrated circuits into computers.

New!!: Semiconductor device fabrication and Thermosonic bonding · See more »

Transistor

A transistor is a semiconductor device used to amplify or switch electronic signals and electrical power.

New!!: Semiconductor device fabrication and Transistor · See more »

Trichloroethylene

The chemical compound trichloroethylene is a halocarbon commonly used as an industrial solvent.

New!!: Semiconductor device fabrication and Trichloroethylene · See more »

Tungsten

Tungsten, or wolfram, is a chemical element with symbol W (referring to wolfram) and atomic number 74.

New!!: Semiconductor device fabrication and Tungsten · See more »

Virtual metrology

In semiconductor manufacturing, virtual metrology refers to methods to predict the properties of a wafer based on machine parameters and sensor data in the production equipment, without performing the (costly) physical measurement of the wafer properties.

New!!: Semiconductor device fabrication and Virtual metrology · See more »

Wafer (electronics)

A wafer, also called a slice or substrate, is a thin slice of semiconductor material, such as a crystalline silicon, used in electronics for the fabrication of integrated circuits and in photovoltaics for conventional, wafer-based solar cells.

New!!: Semiconductor device fabrication and Wafer (electronics) · See more »

Wafer backgrinding

Wafer backgrinding is a semiconductor device fabrication step during which wafer thickness is reduced to allow stacking and high-density packaging of integrated circuits (IC).

New!!: Semiconductor device fabrication and Wafer backgrinding · See more »

Wafer bonding

Wafer bonding is a packaging technology on wafer-level for the fabrication of microelectromechanical systems (MEMS), nanoelectromechanical systems (NEMS), microelectronics and optoelectronics, ensuring a mechanically stable and hermetically sealed encapsulation.

New!!: Semiconductor device fabrication and Wafer bonding · See more »

Wafer dicing

In the context of manufacturing integrated circuits, wafer dicing is the process by which die are separated from a wafer of semiconductor following the processing of the wafer.

New!!: Semiconductor device fabrication and Wafer dicing · See more »

Wafer testing

Wafer testing is a step performed during semiconductor device fabrication.

New!!: Semiconductor device fabrication and Wafer testing · See more »

Wire bonding

Wire bonding is the method of making interconnections (ATJ) between an integrated circuit (IC) or other semiconductor device and its packaging during semiconductor device fabrication.

New!!: Semiconductor device fabrication and Wire bonding · See more »

Redirects here:

CPU fabrication, CPU manufacturing, Chip fabrication, Fab process, Fabrication (semiconductor), Fabrication process, IC assembly, Integrated circuit fabrication, Node (semiconductor fabrication), Semiconductor Manufacturing, Semiconductor Water Fab, Semiconductor Water Fabrication, Semiconductor fabrication, Semiconductor fabrication process, Semiconductor growth, Semiconductor manufacturing, Semiconductor manufacturing equipment, Semiconductor manufacturing process, Semiconductor manufacturing processes, Semiconductor node, Semiconductor process technology, Semiconductor water fab, Semiconductor water fabrication, Silicon chip fabrication, Technology node, Water Fab, Water Fabrication, Water Semiconductor Fab, Water Semiconductor Fabrication, Water fab, Water fabrication, Water semiconductor fab, Water semiconductor fabrication.

References

[1] https://en.wikipedia.org/wiki/Semiconductor_device_fabrication

OutgoingIncoming
Hey! We are on Facebook now! »