Logo
Unionpedia
Communication
Get it on Google Play
New! Download Unionpedia on your Android™ device!
Free
Faster access than browser!
 

Electronic design automation

Index Electronic design automation

Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards. [1]

81 relations: Automatic test pattern generation, Built-in self-test, Cadence Design Systems, Calma, Carver Mead, Circuit design, Circuit extraction, Clock domain crossing, Comparison of EDA software, Computer-aided design, Daisy Systems, Deadlock, Design Automation Conference, Design closure, Design flow (EDA), Diffraction, Digital electronics, EDA database, EEsof, Electromagnetic field solver, Electronics, Espresso heuristic logic minimizer, Field-programmable gate array, Formal equivalence checking, Formal verification, Functional verification, Gateway Design Automation, GDSII, Hardware emulation, Hewlett-Packard, High-level synthesis, Integrated circuit, Integrated circuit design, Intel, Keysight, Lint (software), Lithography, Logic simulation, Logic synthesis, Lynn Conway, Magic (software), Magma Design Automation, Market capitalization, Mask data preparation, Mentor Graphics, Metastability (electronics), Model checking, MOSIS, Netlist, New Taiwan dollar, ..., Optical proximity correction, Photomask, Photoplotter, Physical verification, Place and route, Placement (EDA), Platform-based design, Printed circuit board, Programming tool, Register-transfer level, Resolution enhancement technologies, Schematic capture, Schematic-driven layout, Semiconductor, Semiconductor device fabrication, Semiconductor fabrication plant, Signoff (electronic design automation), SPICE, Static timing analysis, Synopsys, System on a chip, Technology CAD, Tektronix, Ucamco, United States Department of Defense, Unix, Verilog, Very-large-scale integration, VHDL, Wave interference, Zuken. Expand index (31 more) »

Automatic test pattern generation

ATPG (acronym for both Automatic Test Pattern Generation and Automatic Test Pattern Generator) is an electronic design automation method/technology used to find an input (or test) sequence that, when applied to a digital circuit, enables automatic test equipment to distinguish between the correct circuit behavior and the faulty circuit behavior caused by defects.

New!!: Electronic design automation and Automatic test pattern generation · See more »

Built-in self-test

A built-in self-test (BIST) or built-in test (BIT) is a mechanism that permits a machine to test itself.

New!!: Electronic design automation and Built-in self-test · See more »

Cadence Design Systems

Cadence Design Systems, Inc. is an American multinational electronic design automation (EDA) software and engineering services company, founded in 1988 by the merger of SDA Systems and ECAD, Inc. The company produces software, hardware and silicon structures for designing integrated circuits, systems on chips (SoCs) and printed circuit boards.

New!!: Electronic design automation and Cadence Design Systems · See more »

Calma

Calma Company, based in Sunnyvale, California, was, between 1965 and 1988, a vendor of digitizers and minicomputer-based graphics systems targeted at the cartographic and electronic, mechanical and architectural design markets.

New!!: Electronic design automation and Calma · See more »

Carver Mead

Carver Andress Mead (born 1 May 1934) is an American scientist and engineer.

New!!: Electronic design automation and Carver Mead · See more »

Circuit design

The process of circuit design can cover systems ranging from complex electronic systems all the way down to the individual transistors within an integrated circuit.

New!!: Electronic design automation and Circuit design · See more »

Circuit extraction

The electric circuit extraction or simply circuit extraction, also netlist extraction, is the translation of an integrated circuit layout back into the electrical circuit (netlist) it is intended to represent.

New!!: Electronic design automation and Circuit extraction · See more »

Clock domain crossing

In digital electronic design a clock domain crossing (CDC), or simply clock crossing, is the traversal of a signal in a synchronous digital circuit from one clock domain into another.

New!!: Electronic design automation and Clock domain crossing · See more »

Comparison of EDA software

Comparison of Electronic design automation (EDA) software.

New!!: Electronic design automation and Comparison of EDA software · See more »

Computer-aided design

Computer-aided design (CAD) is the use of computer systems to aid in the creation, modification, analysis, or optimization of a design.

New!!: Electronic design automation and Computer-aided design · See more »

Daisy Systems

Daisy Systems Corporation incorporated in 1981 in Mountain View, California, was a computer-aided engineering, company, a pioneer in the electronic design automation (EDA) industry.

New!!: Electronic design automation and Daisy Systems · See more »

Deadlock

In concurrent computing, a deadlock is a state in which each member of a group is waiting for some other member to take action, such as sending a message or more commonly releasing a lock.

New!!: Electronic design automation and Deadlock · See more »

Design Automation Conference

The Design Automation Conference, or DAC, is an annual event, a combination of a technical conference and a trade show, both specializing in electronic design automation (EDA).

New!!: Electronic design automation and Design Automation Conference · See more »

Design closure

In VLSI semiconductor manufacturing, the process of Design Closure is a part of the development workflow by which an integrated circuit design is modified from its initial description to meet a growing list of design constraints and objectives.

New!!: Electronic design automation and Design closure · See more »

Design flow (EDA)

Design flows are the explicit combination of electronic design automation tools to accomplish the design of an integrated circuit.

New!!: Electronic design automation and Design flow (EDA) · See more »

Diffraction

--> Diffraction refers to various phenomena that occur when a wave encounters an obstacle or a slit.

New!!: Electronic design automation and Diffraction · See more »

Digital electronics

Digital electronics or digital (electronic) circuits are electronics that operate on digital signals.

New!!: Electronic design automation and Digital electronics · See more »

EDA database

An EDA database is a database specialized for the purpose of electronic design automation.

New!!: Electronic design automation and EDA database · See more »

EEsof

EEsof (electronic engineering software), today known as Keysight EEsof EDA, is a provider of electronic design automation (EDA) software that helps engineers design products such as cellular phones, wireless networks, radar, satellite communications systems, and high-speed digital wireline infrastructure.

New!!: Electronic design automation and EEsof · See more »

Electromagnetic field solver

Electromagnetic field solvers (or sometimes just field solvers) are specialized programs that solve (a subset of) Maxwell's equations directly.

New!!: Electronic design automation and Electromagnetic field solver · See more »

Electronics

Electronics is the discipline dealing with the development and application of devices and systems involving the flow of electrons in a vacuum, in gaseous media, and in semiconductors.

New!!: Electronic design automation and Electronics · See more »

Espresso heuristic logic minimizer

The Espresso logic minimizer is a computer program using heuristic and specific algorithms for efficiently reducing the complexity of digital electronic gate circuits.

New!!: Electronic design automation and Espresso heuristic logic minimizer · See more »

Field-programmable gate array

A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing hence "field-programmable".

New!!: Electronic design automation and Field-programmable gate array · See more »

Formal equivalence checking

Formal equivalence checking process is a part of electronic design automation (EDA), commonly used during the development of digital integrated circuits, to formally prove that two representations of a circuit design exhibit exactly the same behavior.

New!!: Electronic design automation and Formal equivalence checking · See more »

Formal verification

In the context of hardware and software systems, formal verification is the act of proving or disproving the correctness of intended algorithms underlying a system with respect to a certain formal specification or property, using formal methods of mathematics.

New!!: Electronic design automation and Formal verification · See more »

Functional verification

In electronic design automation, functional verification is the task of verifying that the logic design conforms to specification.

New!!: Electronic design automation and Functional verification · See more »

Gateway Design Automation

"Verilog HDL originated at Automated Integrated Design Systems (later renamed as Gateway Design Automation) in 1985.

New!!: Electronic design automation and Gateway Design Automation · See more »

GDSII

GDSII stream format, common acronym GDSII, is a database file format which is the de facto industry standard for data exchange of integrated circuit or IC layout artwork.

New!!: Electronic design automation and GDSII · See more »

Hardware emulation

In integrated circuit design, hardware emulation is the process of imitating the behavior of one or more pieces of hardware (typically a system under design) with another piece of hardware, typically a special purpose emulation system.

New!!: Electronic design automation and Hardware emulation · See more »

Hewlett-Packard

The Hewlett-Packard Company (commonly referred to as HP) or shortened to Hewlett-Packard was an American multinational information technology company headquartered in Palo Alto, California.

New!!: Electronic design automation and Hewlett-Packard · See more »

High-level synthesis

High-level synthesis (HLS), sometimes referred to as C synthesis, electronic system-level (ESL) synthesis, algorithmic synthesis, or behavioral synthesis, is an automated design process that interprets an algorithmic description of a desired behavior and creates digital hardware that implements that behavior.

New!!: Electronic design automation and High-level synthesis · See more »

Integrated circuit

An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, normally silicon.

New!!: Electronic design automation and Integrated circuit · See more »

Integrated circuit design

Integrated circuit design, or IC design, is a subset of electronics engineering, encompassing the particular logic and circuit design techniques required to design integrated circuits, or ICs.

New!!: Electronic design automation and Integrated circuit design · See more »

Intel

Intel Corporation (stylized as intel) is an American multinational corporation and technology company headquartered in Santa Clara, California, in the Silicon Valley.

New!!: Electronic design automation and Intel · See more »

Keysight

Keysight Technologies, or Keysight, is a US company that manufactures electronics test and measurement equipment and software.

New!!: Electronic design automation and Keysight · See more »

Lint (software)

A linter or lint refers to tools that analyze source code to flag programming errors, bugs, stylistic errors, and suspicious constructs.

New!!: Electronic design automation and Lint (software) · See more »

Lithography

Lithography is a method of printing originally based on the immiscibility of oil and water.

New!!: Electronic design automation and Lithography · See more »

Logic simulation

Logic simulation is the use of simulation software to predict the behavior of digital circuits and hardware description languages.

New!!: Electronic design automation and Logic simulation · See more »

Logic synthesis

In electronics, logic synthesis is a process by which an abstract form of desired circuit behavior, typically at register transfer level (RTL), is turned into a design implementation in terms of logic gates, typically by a computer program called a synthesis tool.

New!!: Electronic design automation and Logic synthesis · See more »

Lynn Conway

Lynn Ann Conway (born January 2, 1938) is an American computer scientist, electrical engineer, inventor, and transgender activist.

New!!: Electronic design automation and Lynn Conway · See more »

Magic (software)

Magic is a Very-large-scale integration (VLSI) layout tool originally written by John Ousterhout and his graduate students at UC Berkeley during the 1980s.

New!!: Electronic design automation and Magic (software) · See more »

Magma Design Automation

Magma Design Automation was a software company in the electronic design automation (EDA) industry.

New!!: Electronic design automation and Magma Design Automation · See more »

Market capitalization

Market capitalization (market cap) is the market value of a publicly traded company's outstanding shares.

New!!: Electronic design automation and Market capitalization · See more »

Mask data preparation

Mask data preparation (MDP) is the procedure of translating a file containing the intended set of polygons from an integrated circuit layout into set of instructions that a photomask writer can use to generate a physical mask.

New!!: Electronic design automation and Mask data preparation · See more »

Mentor Graphics

Mentor Graphics, Inc is a US-based electronic design automation (EDA) multinational corporation for electrical engineering and electronics.

New!!: Electronic design automation and Mentor Graphics · See more »

Metastability (electronics)

Metastability in electronics is the ability of a digital electronics system to persist for an unbounded time in an unstable equilibrium or metastable state.

New!!: Electronic design automation and Metastability (electronics) · See more »

Model checking

In computer science, model checking or property checking refers to the following problem: Given a model of a system, exhaustively and automatically check whether this model meets a given specification.

New!!: Electronic design automation and Model checking · See more »

MOSIS

MOSIS (Metal Oxide Semiconductor Implementation Service) provides chip design tools and related services that enable universities, government agencies, research institutes and businesses to prototype chips efficiently and cost-effectively.

New!!: Electronic design automation and MOSIS · See more »

Netlist

In electronic design, a netlist is a description of the connectivity of an electronic circuit.

New!!: Electronic design automation and Netlist · See more »

New Taiwan dollar

New Taiwan dollar (sign: NT$; code: TWD) is the official currency of Taiwan.

New!!: Electronic design automation and New Taiwan dollar · See more »

Optical proximity correction

Optical proximity correction (OPC) is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects.

New!!: Electronic design automation and Optical proximity correction · See more »

Photomask

A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern.

New!!: Electronic design automation and Photomask · See more »

Photoplotter

A photoplotter is an electro-mechanical-optical machine that exposes a latent image on a media, usually high-contrast monochromatic (black-and-white) photographic film, using a light source under computer control.

New!!: Electronic design automation and Photoplotter · See more »

Physical verification

Physical verification is a process whereby an integrated circuit layout (IC layout) design is checked via EDA software tools to see if it meets certain criteria.

New!!: Electronic design automation and Physical verification · See more »

Place and route

Place and route is a stage in the design of printed circuit boards, integrated circuits, and field-programmable gate arrays.

New!!: Electronic design automation and Place and route · See more »

Placement (EDA)

Placement is an essential step in electronic design automation - the portion of the physical design flow that assigns exact locations for various circuit components within the chip's core area.

New!!: Electronic design automation and Placement (EDA) · See more »

Platform-based design

Platform-based design is defined in Taxonomies for the Development and Verification of Digital Systems as: "an integration oriented design approach emphasizing systematic reuse, for developing complex products based upon platforms and compatible hardware and software virtual component, intended to reduce development risks, costs and time to market".

New!!: Electronic design automation and Platform-based design · See more »

Printed circuit board

A printed circuit board (PCB) mechanically supports and electrically connects electronic components or electrical components using conductive tracks, pads and other features etched from one or more sheet layers of copper laminated onto and/or between sheet layers of a non-conductive substrate.

New!!: Electronic design automation and Printed circuit board · See more »

Programming tool

A programming tool or software development tool is a computer program that software developers use to create, debug, maintain, or otherwise support other programs and applications.

New!!: Electronic design automation and Programming tool · See more »

Register-transfer level

In digital circuit design, register-transfer level (RTL) is a design abstraction which models a synchronous digital circuit in terms of the flow of digital signals (data) between hardware registers, and the logical operations performed on those signals.

New!!: Electronic design automation and Register-transfer level · See more »

Resolution enhancement technologies

Resolution enhancement technologies are methods used to modify photomasks for integrated circuits (ICs) to compensate for limitations in the lithographic processes used to manufacture the chips.

New!!: Electronic design automation and Resolution enhancement technologies · See more »

Schematic capture

Schematic capture or schematic entry is a step in the design cycle of electronic design automation (EDA) at which the electronic diagram, or electronic schematic of the designed electronic circuit is created by a designer.

New!!: Electronic design automation and Schematic capture · See more »

Schematic-driven layout

Schematic driven layout is the concept in integrated circuit layout or PCB layout where the EDA software links the schematic and layout databases.

New!!: Electronic design automation and Schematic-driven layout · See more »

Semiconductor

A semiconductor material has an electrical conductivity value falling between that of a conductor – such as copper, gold etc.

New!!: Electronic design automation and Semiconductor · See more »

Semiconductor device fabrication

Semiconductor device fabrication is the process used to create the integrated circuits that are present in everyday electrical and electronic devices.

New!!: Electronic design automation and Semiconductor device fabrication · See more »

Semiconductor fabrication plant

In the microelectronics industry a semiconductor fabrication plant (commonly called a fab; sometimes foundry) is a factory where devices such as integrated circuits are manufactured.

New!!: Electronic design automation and Semiconductor fabrication plant · See more »

Signoff (electronic design automation)

In the automated design of integrated circuits, signoff (also written as sign-off) checks is the collective name given to a series of verification steps that the design must pass before it can be taped out.

New!!: Electronic design automation and Signoff (electronic design automation) · See more »

SPICE

SPICE (Simulation Program with Integrated Circuit Emphasis)Nagel, L. W, and Pederson, D. O., SPICE (Simulation Program with Integrated Circuit Emphasis), Memorandum No.

New!!: Electronic design automation and SPICE · See more »

Static timing analysis

Static timing analysis (STA) is a simulation method of computing the expected timing of a digital circuit without requiring a simulation of the full circuit.

New!!: Electronic design automation and Static timing analysis · See more »

Synopsys

Synopsys, Inc., an American company, is the leading company by sales in the Electronic Design Automation industry.

New!!: Electronic design automation and Synopsys · See more »

System on a chip

A system on a chip or system on chip (SoC) is an integrated circuit (also known as an "IC" or "chip") that integrates all components of a computer or other electronic systems.

New!!: Electronic design automation and System on a chip · See more »

Technology CAD

Technology CAD (or Technology Computer Aided Design, or TCAD) is a branch of electronic design automation that models semiconductor fabrication and semiconductor device operation.

New!!: Electronic design automation and Technology CAD · See more »

Tektronix

Tektronix, Inc., historically widely known as "Tek", is an American company best known for manufacturing test and measurement devices such as oscilloscopes, logic analyzers, and video and mobile test protocol equipment.

New!!: Electronic design automation and Tektronix · See more »

Ucamco

Ucamco (formerly Barco ETS) develops and markets software and hardware for the electronics manufacturing services.

New!!: Electronic design automation and Ucamco · See more »

United States Department of Defense

The Department of Defense (DoD, USDOD, or DOD) is an executive branch department of the federal government of the United States charged with coordinating and supervising all agencies and functions of the government concerned directly with national security and the United States Armed Forces.

New!!: Electronic design automation and United States Department of Defense · See more »

Unix

Unix (trademarked as UNIX) is a family of multitasking, multiuser computer operating systems that derive from the original AT&T Unix, development starting in the 1970s at the Bell Labs research center by Ken Thompson, Dennis Ritchie, and others.

New!!: Electronic design automation and Unix · See more »

Verilog

Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems.

New!!: Electronic design automation and Verilog · See more »

Very-large-scale integration

Very-large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining hundreds of thousands of transistors or devices into a single chip.

New!!: Electronic design automation and Very-large-scale integration · See more »

VHDL

VHDL (VHSIC Hardware Description Language) is a hardware description language used in electronic design automation to describe digital and mixed-signal systems such as field-programmable gate arrays and integrated circuits.

New!!: Electronic design automation and VHDL · See more »

Wave interference

In physics, interference is a phenomenon in which two waves superpose to form a resultant wave of greater, lower, or the same amplitude.

New!!: Electronic design automation and Wave interference · See more »

Zuken

is a Japanese multinational corporation, specializing in software and consulting services for end-to-end electrical and electronic engineering.

New!!: Electronic design automation and Zuken · See more »

Redirects here:

ECAD, EDA company, Electrical CAD, Electronic Design Automation, Electronic design, Electronics design, Hardware verification.

References

[1] https://en.wikipedia.org/wiki/Electronic_design_automation

OutgoingIncoming
Hey! We are on Facebook now! »