We are working to restore the Unionpedia app on the Google Play Store
OutgoingIncoming
🌟We've simplified our design for better navigation!
Instagram Facebook X LinkedIn

Electronic design automation

Index Electronic design automation

Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards. [1]

Table of Contents

  1. 97 relations: Altium, Ansys, Asia and South Pacific Design Automation Conference, Automatic test pattern generation, Boolean algebra, Built-in self-test, Cadence Design Systems, Calma, Carver Mead, Circuit (computer science), Circuit design, Circuit extraction, Clock domain crossing, Comparison of EDA software, Computer-aided design, Daisy Systems, Data loss, Deadlock, Design Automation and Test in Europe, Design Automation Conference, Design flow (EDA), Design for manufacturability, Diffraction, Digital electronics, EDA database, Electromagnetic field solver, Electronics, Espresso heuristic logic minimizer, Failure rate, Field-programmable gate array, Formal equivalence checking, Formal verification, Foundations and Trends in Electronic Design Automation, Functional safety, Functional verification, Gateway Design Automation, GDSII, Gerber format, Hardware emulation, Hewlett-Packard, High-level synthesis, IBM, IBM 700/7000 series, In-circuit emulation, Institute of Electrical and Electronics Engineers, Integrated circuit, Intel, International Conference on Computer-Aided Design, Inverse lithography, Lint (software), ... Expand index (47 more) »

Altium

Altium Limited is an Australian multinational software company that provides electronic design automation software to engineers who design printed circuit boards.

See Electronic design automation and Altium

Ansys

Ansys, Inc. is an American multinational company with its headquarters based in Canonsburg, Pennsylvania.

See Electronic design automation and Ansys

Asia and South Pacific Design Automation Conference

The Asia and South Pacific Design Automation Conference, or ASP-DAC is the international conference on VLSI design automation in Asia and South Pacific regions, the most active region of design, CAD and fabrication of silicon chips in the world.

See Electronic design automation and Asia and South Pacific Design Automation Conference

Automatic test pattern generation

ATPG (acronym for both automatic test pattern generation and automatic test pattern generator) is an electronic design automation method or technology used to find an input (or test) sequence that, when applied to a digital circuit, enables automatic test equipment to distinguish between the correct circuit behavior and the faulty circuit behavior caused by defects.

See Electronic design automation and Automatic test pattern generation

Boolean algebra

In mathematics and mathematical logic, Boolean algebra is a branch of algebra.

See Electronic design automation and Boolean algebra

Built-in self-test

A built-in self-test (BIST) or built-in test (BIT) is a mechanism that permits a machine to test itself.

See Electronic design automation and Built-in self-test

Cadence Design Systems

Cadence Design Systems, Inc. (stylized as cādence)Investor's Business Daily Retrieved November 12, 2020 is an American multinational technology and computational software company.

See Electronic design automation and Cadence Design Systems

Calma

Calma Company, based in Sunnyvale, California, was, between 1965 and 1988, a vendor of digitizers and minicomputer-based graphics systems targeted at the cartographic and electronic, mechanical and architectural design markets.

See Electronic design automation and Calma

Carver Mead

Carver Andress Mead (born 1 May 1934) is an American scientist and engineer.

See Electronic design automation and Carver Mead

Circuit (computer science)

In theoretical computer science, a circuit is a model of computation in which input values proceed through a sequence of gates, each of which computes a function.

See Electronic design automation and Circuit (computer science)

Circuit design

The process of circuit design can cover systems ranging from complex electronic systems down to the individual transistors within an integrated circuit. Electronic design automation and circuit design are electronic engineering.

See Electronic design automation and Circuit design

Circuit extraction

The electric circuit extraction or simply circuit extraction, also netlist extraction, is the translation of an integrated circuit layout back into the electrical circuit (netlist) it is intended to represent. Electronic design automation and circuit extraction are electronic engineering.

See Electronic design automation and Circuit extraction

Clock domain crossing

In digital electronic design a clock domain crossing (CDC), or simply clock crossing, is the traversal of a signal in a synchronous digital circuit from one clock domain into another.

See Electronic design automation and Clock domain crossing

Comparison of EDA software

This page is a comparison of electronic design automation (EDA) software which is used today to design the near totality of electronic devices.

See Electronic design automation and Comparison of EDA software

Computer-aided design

Computer-aided design (CAD) is the use of computers to aid in the creation, modification, analysis, or optimization of a design.

See Electronic design automation and Computer-aided design

Daisy Systems

Daisy Systems Corporation, incorporated in 1981 in Mountain View, California, was a computer-aided engineering company, a pioneer in the electronic design automation (EDA) industry.

See Electronic design automation and Daisy Systems

Data loss

Data loss is an error condition in information systems in which information is destroyed by failures (like failed spindle motors or head crashes on hard drives) or neglect (like mishandling, careless handling or storage under unsuitable conditions) in storage, transmission, or processing.

See Electronic design automation and Data loss

Deadlock

In concurrent computing, deadlock is any situation in which no member of some group of entities can proceed because each waits for another member, including itself, to take action, such as sending a message or, more commonly, releasing a lock.

See Electronic design automation and Deadlock

Design Automation and Test in Europe

Design, Automation & Test in Europe, or DATE is a yearly conference on the topic of electronic design automation.

See Electronic design automation and Design Automation and Test in Europe

Design Automation Conference

The Design Automation Conference, or DAC, is an annual event, a combination of a technical conference and a trade show, both specializing in electronic design automation (EDA).

See Electronic design automation and Design Automation Conference

Design flow (EDA)

Design flows are the explicit combination of electronic design automation tools to accomplish the design of an integrated circuit.

See Electronic design automation and Design flow (EDA)

Design for manufacturability

Design for manufacturability (also sometimes known as design for manufacturing or DFM) is the general engineering practice of designing products in such a way that they are easy to manufacture.

See Electronic design automation and Design for manufacturability

Diffraction

Diffraction is the interference or bending of waves around the corners of an obstacle or through an aperture into the region of geometrical shadow of the obstacle/aperture.

See Electronic design automation and Diffraction

Digital electronics

Digital electronics is a field of electronics involving the study of digital signals and the engineering of devices that use or produce them. Electronic design automation and digital electronics are electronic engineering.

See Electronic design automation and Digital electronics

EDA database

An EDA database is a database specialized for the purpose of electronic design automation.

See Electronic design automation and EDA database

Electromagnetic field solver

Electromagnetic field solvers (or sometimes just field solvers) are specialized programs that solve (a subset of) Maxwell's equations directly. Electronic design automation and Electromagnetic field solver are electronic engineering.

See Electronic design automation and Electromagnetic field solver

Electronics

Electronics is a scientific and engineering discipline that studies and applies the principles of physics to design, create, and operate devices that manipulate electrons and other electrically charged particles.

See Electronic design automation and Electronics

Espresso heuristic logic minimizer

The ESPRESSO logic minimizer is a computer program using heuristic and specific algorithms for efficiently reducing the complexity of digital logic gate circuits.

See Electronic design automation and Espresso heuristic logic minimizer

Failure rate

Failure rate is the frequency with which an engineered system or component fails, expressed in failures per unit of time.

See Electronic design automation and Failure rate

Field-programmable gate array

A field-programmable gate array (FPGA) is a type of configurable integrated circuit that can be repeatedly programmed after manufacturing.

See Electronic design automation and Field-programmable gate array

Formal equivalence checking

Formal equivalence checking process is a part of electronic design automation (EDA), commonly used during the development of digital integrated circuits, to formally prove that two representations of a circuit design exhibit exactly the same behavior.

See Electronic design automation and Formal equivalence checking

Formal verification

In the context of hardware and software systems, formal verification is the act of proving or disproving the correctness of a system with respect to a certain formal specification or property, using formal methods of mathematics.

See Electronic design automation and Formal verification

Foundations and Trends in Electronic Design Automation is a journal published by Now Publishers.

See Electronic design automation and Foundations and Trends in Electronic Design Automation

Functional safety

Functional safety is the part of the overall safety of a system or piece of equipment that depends on automatic protection operating correctly in response to its inputs or failure in a predictable manner (fail-safe).

See Electronic design automation and Functional safety

Functional verification

Functional verification is the task of verifying that the logic design conforms to specification.

See Electronic design automation and Functional verification

Gateway Design Automation

"Verilog HDL originated at Automated Integrated Design Systems (later renamed as Gateway Design Automation) in 1985.

See Electronic design automation and Gateway Design Automation

GDSII

GDSII stream format (GDSII), is a binary database file format which is the de facto industry standard for Electronic Design Automation data exchange of integrated circuit or IC layout artwork.

See Electronic design automation and GDSII

Gerber format

The Gerber format is an open, ASCII, vector format for printed circuit board (PCB) designs.

See Electronic design automation and Gerber format

Hardware emulation

In integrated circuit design, hardware emulation is the process of imitating the behavior of one or more pieces of hardware (typically a system under design) with another piece of hardware, typically a special purpose emulation system.

See Electronic design automation and Hardware emulation

Hewlett-Packard

The Hewlett-Packard Company, commonly shortened to Hewlett-Packard or HP, was an American multinational information technology company headquartered in Palo Alto, California.

See Electronic design automation and Hewlett-Packard

High-level synthesis

High-level synthesis (HLS), sometimes referred to as C synthesis, electronic system-level (ESL) synthesis, algorithmic synthesis, or behavioral synthesis, is an automated design process that takes an abstract behavioral specification of a digital system and finds a register-transfer level structure that realizes the given behavior.

See Electronic design automation and High-level synthesis

IBM

International Business Machines Corporation (using the trademark IBM), nicknamed Big Blue, is an American multinational technology company headquartered in Armonk, New York and present in over 175 countries.

See Electronic design automation and IBM

IBM 700/7000 series

The IBM 700/7000 series is a series of large-scale (mainframe) computer systems that were made by IBM through the 1950s and early 1960s.

See Electronic design automation and IBM 700/7000 series

In-circuit emulation

In-circuit emulation (ICE) is the use of a hardware device or in-circuit emulator used to debug the software of an embedded system.

See Electronic design automation and In-circuit emulation

Institute of Electrical and Electronics Engineers

The Institute of Electrical and Electronics Engineers (IEEE) is an American 501(c)(3) professional association for electronics engineering, electrical engineering, and other related disciplines.

See Electronic design automation and Institute of Electrical and Electronics Engineers

Integrated circuit

An integrated circuit (IC), also known as a microchip, computer chip, or simply chip, is a small electronic device made up of multiple interconnected electronic components such as transistors, resistors, and capacitors.

See Electronic design automation and Integrated circuit

Intel

Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California, and incorporated in Delaware.

See Electronic design automation and Intel

International Conference on Computer-Aided Design

The International Conference on Computer-Aided Design (ICCAD) is a yearly conference about electronic design automation.

See Electronic design automation and International Conference on Computer-Aided Design

Inverse lithography

In semiconductor device fabrication, the inverse lithography technology (ILT) is an approach to photomask design.

See Electronic design automation and Inverse lithography

Lint (software)

Lint is the computer science term for a static code analysis tool used to flag programming errors, bugs, stylistic errors and suspicious constructs.

See Electronic design automation and Lint (software)

Logic simulation

Logic simulation is the use of simulation software to predict the behavior of digital circuits and hardware description languages.

See Electronic design automation and Logic simulation

Logic synthesis

In computer engineering, logic synthesis is a process by which an abstract specification of desired circuit behavior, typically at register transfer level (RTL), is turned into a design implementation in terms of logic gates, typically by a computer program called a synthesis tool. Electronic design automation and logic synthesis are electronic engineering.

See Electronic design automation and Logic synthesis

Lynn Conway

Lynn Ann Conway (January 2, 1938 – June 9, 2024) was an American computer scientist, electrical engineer, and transgender activist.

See Electronic design automation and Lynn Conway

Magic (software)

Magic is an electronic design automation (EDA) layout tool for very-large-scale integration (VLSI) integrated circuit (IC) originally written by John Ousterhout and his graduate students at UC Berkeley.

See Electronic design automation and Magic (software)

Magma Design Automation

Magma Design Automation was a software company in the electronic design automation (EDA) industry.

See Electronic design automation and Magma Design Automation

Market capitalization

Market capitalization, sometimes referred to as market cap, is the total value of a publicly traded company's outstanding common shares owned by stockholders.

See Electronic design automation and Market capitalization

Mask data preparation

Mask data preparation (MDP), also known as layout post processing, is the procedure of translating a file containing the intended set of polygons from an integrated circuit layout into set of instructions that a photomask writer can use to generate a physical mask.

See Electronic design automation and Mask data preparation

Mentor Graphics

Mentor Graphics Corporation was a US-based electronic design automation (EDA) multinational corporation for electrical engineering and electronics, headquartered in Wilsonville, Oregon.

See Electronic design automation and Mentor Graphics

Metastability (electronics)

In electronics, metastability is the ability of a digital electronic system to persist for an unbounded time in an unstable equilibrium or metastable state.

See Electronic design automation and Metastability (electronics)

Model checking

In computer science, model checking or property checking is a method for checking whether a finite-state model of a system meets a given specification (also known as correctness).

See Electronic design automation and Model checking

MOSIS

MOSIS (Metal Oxide Semiconductor Implementation Service) is multi-project wafer service that provides metal–oxide–semiconductor (MOS) chip design tools and related services that enable universities, government agencies, research institutes and businesses to prototype chips efficiently and cost-effectively.

See Electronic design automation and MOSIS

Netlist

In electronic design, a netlist is a description of the connectivity of an electronic circuit.

See Electronic design automation and Netlist

Optical proximity correction

Optical proximity correction (OPC) is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects.

See Electronic design automation and Optical proximity correction

Photolithography

Photolithography (also known as optical lithography) is a process used in the manufacturing of integrated circuits.

See Electronic design automation and Photolithography

Photomask

A photomask (also simply called a mask) is an opaque plate with transparent areas that allow light to shine through in a defined pattern.

See Electronic design automation and Photomask

Photoplotter

A photoplotter is a specialized electro-opto-mechanical machine that exposes a latent image on a medium, usually high-contrast monochromatic (black-and-white) photographic film, using a light source under computer control.

See Electronic design automation and Photoplotter

Physical verification

Physical verification is a process whereby an integrated circuit layout (IC layout) design is verified via EDA software tools to ensure correct electrical and logical functionality and manufacturability.

See Electronic design automation and Physical verification

Place and route

Place and route (also called PnR or P&R) is a stage in the design of printed circuit boards, integrated circuits, and field-programmable gate arrays.

See Electronic design automation and Place and route

Placement (electronic design automation)

Placement is an essential step in electronic design automation — the portion of the physical design flow that assigns exact locations for various circuit components within the chip's core area.

See Electronic design automation and Placement (electronic design automation)

Platform-based design

Platform-based design is a design approach emphasizing systematic reuse, for developing complex products based upon platforms and compatible hardware and software virtual component, intended to reduce development risks, costs and time to market.

See Electronic design automation and Platform-based design

Printed circuit board

A printed circuit board (PCB), also called printed wiring board (PWB), is a medium used to connect or "wire" components to one another in a circuit. Electronic design automation and printed circuit board are electronic engineering.

See Electronic design automation and Printed circuit board

Programming tool

A programming tool or software development tool is a computer program that software developers use to create, debug, maintain, or otherwise support other programs and applications.

See Electronic design automation and Programming tool

Register-transfer level

In digital circuit design, register-transfer level (RTL) is a design abstraction which models a synchronous digital circuit in terms of the flow of digital signals (data) between hardware registers, and the logical operations performed on those signals.

See Electronic design automation and Register-transfer level

Resolution enhancement technologies

Resolution enhancement technologies are methods used to modify the photomasks in the lithographic processes used to make integrated circuits (ICs or "chips") to compensate for limitations in the optical resolution of the projection systems.

See Electronic design automation and Resolution enhancement technologies

RTLinux

RTLinux is a hard realtime real-time operating system (RTOS) microkernel that runs the entire Linux operating system as a fully preemptive process.

See Electronic design automation and RTLinux

Schematic capture

Schematic capture or schematic entry is a step in the design cycle of electronic design automation (EDA) at which the electronic diagram, or electronic schematic of the designed electronic circuit, is created by a designer.

See Electronic design automation and Schematic capture

Schematic-driven layout

Schematic driven layout is the concept in integrated circuit layout or PCB layout where the EDA software links the schematic and layout databases.

See Electronic design automation and Schematic-driven layout

Semiconductor

A semiconductor is a material that has an electrical conductivity value falling between that of a conductor, such as copper, and an insulator, such as glass.

See Electronic design automation and Semiconductor

Semiconductor device fabrication

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as computer processors, microcontrollers, and memory chips (such as NAND flash and DRAM).

See Electronic design automation and Semiconductor device fabrication

Semiconductor fabrication plant

In the microelectronics industry, a semiconductor fabrication plant (commonly called a fab; sometimes foundry) is a factory for semiconductor device fabrication.

See Electronic design automation and Semiconductor fabrication plant

Siemens

Siemens AG is a German multinational technology conglomerate.

See Electronic design automation and Siemens

Signoff (electronic design automation)

In the automated design of integrated circuits, signoff (also written as sign-off) checks is the collective name given to a series of verification steps that the design must pass before it can be taped out.

See Electronic design automation and Signoff (electronic design automation)

Silicon compiler

A silicon compiler is an electronic design automation software tool that is used for high-level synthesis of integrated circuits.

See Electronic design automation and Silicon compiler

SPICE

SPICE ("Simulation Program with Integrated Circuit Emphasis") is a general-purpose, open-source analog electronic circuit simulator.

See Electronic design automation and SPICE

Static timing analysis

Static timing analysis (STA) is a simulation method of computing the expected timing of a synchronous digital circuit without requiring a simulation of the full circuit.

See Electronic design automation and Static timing analysis

Synopsys

Synopsys, Inc. is an American electronic design automation (EDA) company headquartered in Sunnyvale, California, that focuses on silicon design and verification, silicon intellectual property and software security and quality.

See Electronic design automation and Synopsys

System on a chip

A system on a chip or system-on-chip (SoC; pl. SoCs) is an integrated circuit that integrates most or all components of a computer or other electronic system.

See Electronic design automation and System on a chip

Technology CAD

Technology computer-aided design (technology CAD or TCAD) is a branch of electronic design automation (EDA) that models semiconductor fabrication and semiconductor device operation.

See Electronic design automation and Technology CAD

Tektronix

Tektronix, historically widely known as Tek, is an American company best known for manufacturing test and measurement devices such as oscilloscopes, logic analyzers, and video and mobile test protocol equipment.

See Electronic design automation and Tektronix

United States Department of Defense

The United States Department of Defense (DoD, USDOD, or DOD) is an executive branch department of the federal government of the United States charged with coordinating and supervising all agencies and functions of the U.S. government directly related to national security and the United States Armed Forces.

See Electronic design automation and United States Department of Defense

Unix

Unix (trademarked as UNIX) is a family of multitasking, multi-user computer operating systems that derive from the original AT&T Unix, whose development started in 1969 at the Bell Labs research center by Ken Thompson, Dennis Ritchie, and others.

See Electronic design automation and Unix

Verilog

Verilog, standardized as IEEE 1364, is a hardware description language (HDL) used to model electronic systems.

See Electronic design automation and Verilog

Very-large-scale integration

Very-large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining millions or billions of MOS transistors onto a single chip.

See Electronic design automation and Very-large-scale integration

VHDL

VHDL (VHSIC Hardware Description Language) is a hardware description language that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates, for design entry, documentation, and verification purposes.

See Electronic design automation and VHDL

Wafer (electronics)

In electronics, a wafer (also called a slice or substrate) is a thin slice of semiconductor, such as a crystalline silicon (c-Si, silicium), used for the fabrication of integrated circuits and, in photovoltaics, to manufacture solar cells.

See Electronic design automation and Wafer (electronics)

Wave interference

In physics, interference is a phenomenon in which two coherent waves are combined by adding their intensities or displacements with due consideration for their phase difference.

See Electronic design automation and Wave interference

Zuken

is a Japanese multinational corporation, specializing in software and consulting services for end-to-end electrical and electronic engineering.

See Electronic design automation and Zuken

References

[1] https://en.wikipedia.org/wiki/Electronic_design_automation

Also known as ECAD, EDA company, EDA tool, Electrical CAD, Electronic computer-aided design, Electronic design, Electronics design, Hardware verification, History of electronic design automation.

, Logic simulation, Logic synthesis, Lynn Conway, Magic (software), Magma Design Automation, Market capitalization, Mask data preparation, Mentor Graphics, Metastability (electronics), Model checking, MOSIS, Netlist, Optical proximity correction, Photolithography, Photomask, Photoplotter, Physical verification, Place and route, Placement (electronic design automation), Platform-based design, Printed circuit board, Programming tool, Register-transfer level, Resolution enhancement technologies, RTLinux, Schematic capture, Schematic-driven layout, Semiconductor, Semiconductor device fabrication, Semiconductor fabrication plant, Siemens, Signoff (electronic design automation), Silicon compiler, SPICE, Static timing analysis, Synopsys, System on a chip, Technology CAD, Tektronix, United States Department of Defense, Unix, Verilog, Very-large-scale integration, VHDL, Wafer (electronics), Wave interference, Zuken.